Memory dependence prediction

Updated: 04/26/2017 by Computer Hope

Memory dependence prediction, also known as speculative load, is a prediction technique used by modern CPUs (central processing units). In this method, the processor tries to forecast operations that depend on accessing the same data in a computer's memory, and executes those operations out of order to improve performance.

By executing memory-dependent operations in an order other than that implied by the program, the system can avoid an occurrence known as a RAW (read-after-write) dependency violation. RAW occurs when software tries to access the value of data with recently changed. When such a violation occurs, the system must execute a pipeline flush, which can delay CPU performance. Memory dependence prediction can be utilized to avoid these situations.

CPU terms, Dependence, Memory